Skip to content

Truthy fix

Ghost User requested to merge truthy_fix into master

In this branch the yaml linting for truthy values is re-enabled. All the ok/True/yes variables are now changed in true (the same applies for false). Before tagging and using in ics-ans-core all the group_vars should be double checked.

Merge request reports